Packaging tekinoroji ndeimwe yeanonyanya kukosha maitiro muindasitiri yesemiconductor. Zvinoenderana nechimiro chepakeji, inogona kukamurwa kuita socket package, pamusoro pekuisa pasuru, BGA package, chip saizi package (CSP), single chip module package (SCM, iyo gap pakati peiyo wiring pane yakadhindwa redunhu bhodhi (PCB) uye iyo yakabatanidzwa yedunhu (IC) bhodhi pad mechi), akawanda-chip module package (MCM, inogona kubatanidza heterogeneous machipisi), wafer level package (WLP, inosanganisira fan-out wafer level package (FOWLP), micro surface mount zvikamu (microSMD), nezvimwewo), matatu-dimensional package (micro bump interconnect package, TSV interconnect package, nezvimwewo), system package (SIP), chip system (SOC).
Mafomu e3D kurongedza anonyanyo patsanurwa muzvikamu zvitatu: yakavigwa mhando (kuviga mudziyo mu-multi-layer wiring kana kuvigwa mune substrate), inoshanda substrate mhando (silicon wafer kubatanidzwa: tanga kubatanidza zvikamu uye wafer substrate kuti igadzire inoshanda substrate. wobva waronga mitsara yekubatanidza ma-multi-layer, uye unganidza mamwe machipisi kana zvikamu pamusoro) uye akaturikidzana mhando (silicon wafers akaturikidzana nesilicon wafers, machipisi akaturikidzana nesilicon wafers, uye machipisi akaturikidzana nemachipisi).
3D yekubatanidza nzira dzinosanganisira waya bonding (WB), flip chip (FC), kuburikidza nesilicon kuburikidza ne (TSV), firimu conductor, nezvimwe.
TSV inoona kubatanidza kwakatwasuka pakati pemachipi. Sezvo tambo yekubatanidza yakatwasuka iine chinhambwe chipfupi uye simba repamusoro, zviri nyore kuona miniaturization, high density, high performance, uye multifunctional heterogeneous structure packaging. Panguva imwecheteyo, inogona zvakare kubatanidza machipisi emhando dzakasiyana;
parizvino, kune marudzi maviri emagetsi ekugadzira matekinoroji anoshandisa TSV maitiro: matatu-dimensional wedunhu kurongedza (3D IC kubatanidzwa) uye matatu-dimensional silicon packaging (3D Si kubatanidzwa).
Musiyano pakati pemafomu maviri ndewekuti:
(1) 3D yedunhu kurongedza inoda kuti ma chip electrode agadzirirwe kuita mabumps, uye mabumps akabatanidzwa (akabatanidzwa ne bonding, fusion, welding, nezvimwewo), nepo 3D silicon kurongedza kuri kuwirirana kwakananga pakati pemachipisi (kusunga pakati maokisi neCu. -Kubatana).
(2) Tekinoroji yekubatanidza tekinoroji ye3D inogona kuwanikwa nekubatanidza pakati pezvimedu (3D wedunhu kurongedza, 3D silicon packaging), nepo chip-to-chip bonding uye chip-to-wafer bonding inogona kuwanikwa chete ne3D wedunhu kurongedza.
(3) Pane mapeji pakati pemachipisi akabatanidzwa ne 3D wedunhu kurongedza maitiro, uye dielectric zvinhu zvinofanirwa kuzadzwa kuti zvigadzirise iyo thermal conductivity uye thermal yekuwedzera coefficient ye system kuti ive nechokwadi chekugadzikana kwemakanika uye magetsi zvimiro zvehurongwa; hapana mikaha pakati pemachipi akabatanidzwa neiyo 3D silicon kurongedza maitiro, uye mashandisiro emagetsi, vhoriyamu, uye huremu hwechip idiki, uye kushanda kwemagetsi kwakanaka.
Iyo TSV maitiro anogona kugadzira yakatwasuka chiratidzo nzira kuburikidza neiyo substrate uye kubatanidza iyo RDL kumusoro uye pasi peiyo substrate kuita matatu-dimensional conductor nzira. Naizvozvo, iyo TSV maitiro nderimwe remakona akakosha ekuvaka matatu-dimensional passive mudziyo chimiro.
Zvinoenderana nekurongeka pakati pekupedzisira kwemutsara (FEOL) uye kumashure kwekupedzisira kwemutsara (BEOL), maitiro eTSV anogona kukamurwa kuita matatu makuru ekugadzira maitiro, anoti, kuburikidza nekutanga (ViaFirst), kuburikidza nepakati (Via Middle) uye kuburikidza nekupedzisira (Via Last) maitiro, sezvakaratidzwa mumufananidzo.
1. Via etching process
Iyo kuburikidza ne etching maitiro ndiyo kiyi yekugadzira TSV chimiro. Kusarudza yakakodzera etching maitiro kunogona kunyatso kuvandudza simba remakanika uye magetsi zvimiro zveTSV, uyezve zvine chekuita nekuvimbika kwese kweTSV matatu-dimensional zvishandiso.
Parizvino, kune mana makuru TSV kuburikidza ne etching maitiro: Deep Reactive Ion Etching (DRIE), wet etching, photo-assisted electrochemical etching (PAECE) uye laser drilling.
(1) Deep Reactive Ion Etching (DRIE)
Yakadzika reactive ion etching, inozivikanwawo seDRIE maitiro, ndiyo inonyanya kushandiswa TSV etching process, iyo inonyanya kushandiswa kuona TSV kuburikidza nezvimiro zvine yakakwirira chimiro ratio. Echinyakare plasma etching maitiro anowanzo kuwana etching kudzika kwemamicrons akati wandei, ine yakaderera etching mwero uye kushomeka kwe etching mask selectivity. Bosch yakagadzirisa nzira dzinoenderana neizvi. Nekushandisa SF6 segasi rinoita basa uye kuburitsa C4F8 gasi panguva yekumisikidza sechivharo chekudzivirira kwemadziro emadziro, iyo yakagadziridzwa DRIE maitiro akakodzera etching yakakwira chikamu chiyero vias. Naizvozvo, inonziwo Bosch process mushure memugadziri wayo.
Nhamba iri pazasi ipikicha yehupamhi hwechiyero chechiyero kuburikidza nekuumbwa ne etching iyo DRIE maitiro.
Kunyangwe iyo nzira yeDRIE ichishandiswa zvakanyanya muchirongwa cheTSV nekuda kwekugadzirisa kwayo kwakanaka, chakashata ndechekuti iyo sidewall flatness haina kunaka uye scallop-shaped wrinkle defects ichaumbwa. Chirema ichi chinonyanya kukosha kana etching yakakwira chikamu ratio vias.
(2) Kunyorova
Wet etching inoshandisa musanganiswa wemasiki uye makemikari etching kupinza nemumakomba. Iyo inonyanya kushandiswa etching mhinduro ndeye KOH, iyo inogona kuseta zvinzvimbo pane iyo silicon substrate iyo isina kuchengetedzwa nemask, nekudaro ichigadzira inodiwa kuburikidza-gomba chimiro. Wet etching ndiyo yekutanga kuburikidza-gomba etching process yakagadzirwa. Sezvo nhanho dzayo dzekuita uye michina inodiwa iri nyore, inokodzera kugadzirwa kwakawanda kweTSV nemutengo wakaderera. Nekudaro, iyo kemikari etching mameshini inoona kuti iyo kuburikidza-gomba rakaumbwa neiyi nzira ichakanganiswa nekristaro kutaridzika kwesilicon wafer, ichiita iyo yakavharwa nepakati-gomba isiri yakatwasuka asi ichiratidza yakajeka chiitiko chepamusoro yakafara uye yakamanikana pasi. Ichi chikanganiso chinodzikamisa kushandiswa kwekunyorova etching muTSV kugadzira.
(3) Photo-assisted electrochemical etching (PAECE)
Nheyo yakakosha yemifananidzo-inobatsirwa electrochemical etching (PAECE) ndeye kushandisa ultraviolet mwenje kumhanyisa kugadzirwa kwemaelectron-hole pairi, nekudaro ichimhanyisa maitiro e electrochemical etching. Kana ichienzaniswa neyakashandiswa zvakanyanya yeDRIE maitiro, iyo PAECE maitiro akanyanya kukodzera etching ekupedzisira-yakakura aspect ratio kuburikidza-gomba zvimiro zvakakura kupfuura 100: 1, asi chakashata ndechekuti kudzora kwe etching kudzika hakuna kusimba pane DRIE, uye tekinoroji yayo inogona. zvinoda kumwe kutsvagisa nekuvandudzwa kwemaitiro.
(4) Laser kuchera
Yakasiyana nenzira nhatu dziri pamusoro apa. Iyo laser drilling nzira inzira chaiyo yemuviri. Iyo inonyanya kushandisa yakakwira-simba laser irradiation kunyungudusa uye kufambisa iyo substrate zvinhu munzvimbo yakatsanangurwa kuti inyatsoona kuburikidza-gomba kuvakwa kweTSV.
Iyo yekuburikidza-gomba inogadzirwa nelaser drilling ine yakakwira chikamu chiyero uye madziro epadivi akanyatso mira. Nekudaro, sezvo laser drilling ichinyatso shandisa kupisa kwenzvimbo kugadzira iyo kuburikidza-gomba, gomba madziro eTSV anozokanganiswa nekukuvara kwemafuta uye kuderedza kuvimbika.
2. Liner layer deposition process
Imwe tekinoroji yakakosha yekugadzira TSV ndiyo liner layer deposition process.
Iyo liner layer deposition process inoitwa mushure mekunge iyo-buri yakadzikwa. Iyo yakaiswa liner layer inowanzo oxide senge SiO2. Iyo liner layer iri pakati peyemukati conductor yeTSV uye substrate, uye inonyanya kuita basa rekuparadzanisa DC ikozvino kuvuza. Pamusoro pekuisa oxide, zvipingamupinyi uye mitsara yembeu inodiwawo kuti conductor azadze mune inotevera maitiro.
Iyo yakagadzirwa liner layer inofanirwa kusangana nezvinotevera zviviri zvinodiwa:
(1) kuparara kwemagetsi eiyo insulating layer inofanira kusangana nezvinodiwa zvekushanda zveTSV;
(2) zvidimbu zvakaiswa zvinonyatsoenderana uye zvine kunamatira kwakanaka kune mumwe nemumwe.
Mufananidzo unotevera unoratidza mufananidzo weiyo liner layer yakaiswa neplasma yakagadziridzwa kemikari vapor deposition (PECVD).
Iyo deposition process inoda kugadziridzwa zvinoenderana neakasiyana TSV maitiro ekugadzira. Kune yekumberi kuburikidza negomba process, yakakwirira-tembiricha deposition process inogona kushandiswa kuvandudza kunaka kweiyo oxide layer.
Chimiro chepamusoro-tembiricha deposition inogona kuve yakavakirwa pa tetraethyl orthosilicate (TEOS) yakasanganiswa neyekupisa oxidation process kuti iite inopindirana yepamusoro-mhando yeSiO2 insulating layer. Kune yepakati kuburikidza negomba uye kumashure kuburikidza-gomba maitiro, sezvo iyo BEOL maitiro apera panguva yekuisa, yakaderera-tembiricha nzira inodiwa kuti ive nechokwadi chekuenderana nezvinhu zveBEOL.
Pasi pemamiriro ezvinhu aya, tembiricha yekuisa inofanira kuganhurwa kusvika ku450 °, kusanganisira kushandiswa kwePECVD kuisa SiO2 kana SiNx seyakavharisa.
Imwe nzira yakajairika ndeye kushandisa atomic layer deposition (ALD) kuisa Al2O3 kuwana denser insulating layer.
3. Metal yekuzadza nzira
Iyo TSV yekuzadza maitiro inoitwa pakarepo mushure meiyo liner deposition process, inova imwe yakakosha tekinoroji inosarudza mhando yeTSV.
Zvinhu zvinogona kuzadzwa zvinosanganisira doped polysilicon, tungsten, carbon nanotubes, nezvimwewo zvichienderana nemaitiro anoshandiswa, asi iyo inonyanya kushandiswa ichiri electroplated mhangura, nokuti maitiro ayo akakura uye maitiro ayo emagetsi uye ekupisa anowedzera.
Zvinoenderana nemusiyano wekugovera weyero ye electroplating mugomba, inogona kunyanya kukamurwa kuita subconformal, conformal, superconformal uye pasi-kumusoro electroplating nzira, sezvakaratidzwa mumufananidzo.
Subconformal electroplating yainyanya kushandiswa mukutanga nhanho yekutsvagisa TSV. Sezvinoratidzwa muMufananidzo (a), maCu ions anopiwa ne electrolysis akaiswa kumusoro, asi pasi pacho hachina kukwana kuwedzera, izvo zvinoita kuti electroplating rate iri pamusoro peburi-gomba ive yakakwirira kudarika iyo iri pasi pepamusoro. Nokudaro, pamusoro pe-buri-gomba ichavharwa mberi isati yazadzwa zvachose, uye chivharo chikuru chichaumbwa mukati.
Iyo schematic dhizaini uye mufananidzo weiyo conformal electroplating nzira inoratidzwa muFigure (b). Nekuvimbisa yunifomu yekuwedzera yeCu ions, chiyero che electroplating pane imwe neimwe nzvimbo muburi-gomba chakafanana, saka musono chete ndiwo uchasara mukati, uye void vhoriyamu idiki zvakanyanya pane iyo ye subconformal electroplating nzira, saka. inoshandiswa zvakanyanya.
Kuti uwedzere kuzadzisa isina-isina kuzadza mhedzisiro, iyo superconformal electroplating nzira yakatsanangurwa kuti ikwidze iyo conformal electroplating nzira. Sezvinoratidzwa mumufananidzo (c), nekudzora kupihwa kweCu ions, mwero wekuzadza pazasi wakakwira zvishoma pane kune dzimwe nzvimbo, nekudaro uchigonesa nhanho yedanho rekuzadza kubva pasi kusvika kumusoro kubvisa zvachose musono wakasara. neiyo conformal electroplating nzira, kuitira kuti uwane zvachose isina-isina simbi simbi yekuzadza mhangura.
Iyo yepasi-kumusoro electroplating nzira inogona kutariswa seyakakosha nyaya yeiyo super-conformal nzira. Muchiitiko ichi, chiyero che electroplating kunze kwepasi chinodzvinyirirwa kusvika zero, uye chete electroplating inoitwa zvishoma nezvishoma kubva pasi kusvika kumusoro. Pamusoro peiyo void-yemahara mukana weiyo conformal electroplating nzira, iyi nzira inogona zvakare zvinobudirira kuderedza iyo yakazara electroplating nguva, saka yakadzidzwa zvakanyanya mumakore achangopfuura.
4. RDL process technology
Iyo RDL maitiro ndeye yakakosha tekinoroji mune matatu-dimensional yekurongedza maitiro. Kuburikidza nemaitiro aya, simbi yekubatanidza inogona kugadzirwa pamativi ese e substrate kuzadzisa chinangwa chekugoverazve chiteshi kana kubatana pakati pemapakeji. Naizvozvo, maitiro eRDL anoshandiswa zvakanyanya mu fan-in-fan-out kana 2.5D/3D yekurongedza masisitimu.
Mukuita kwekuvaka matatu-dimensional zvishandiso, iyo RDL maitiro anowanzo shandiswa kubatanidza TSV kuona akasiyana-siyana matatu-dimensional mudziyo zvimiro.
Parizvino kune maviri makuru makuru eRDL maitiro. Yekutanga yakavakirwa pane photosensitive polymers uye yakasanganiswa nemhangura electroplating uye etching maitiro; imwe yacho inoshandiswa nekushandisa Cu Dhamasiko maitiro akasanganiswa nePECVD uye makemikari mechanical polishing (CMP) maitiro.
Izvi zvinotevera zvinounza nzira huru dzemaRDLs maviri aya zvakateerana.
Iyo RDL maitiro akavakirwa pane photosensitive polymer inoratidzwa mumufananidzo uri pamusoro.
Kutanga, chidimbu chePI kana BCB glue chakaputirwa pamusoro pechifukidziro nekutenderera, uye mushure mekupisa nekurapa, chirongwa chephotolithography chinoshandiswa kuvhura maburi panzvimbo yaunoda, uye ipapo etching inoitwa. Tevere, mushure mekubvisa photoresist, Ti naCu vanoputirwa pawafer kuburikidza nemuviri mhute deposition process (PVD) sechivhariso layer uye yembeu layer, zvichiteerana. Tevere, iyo yekutanga layer yeRDL inogadzirwa pane yakafumurwa yeTi / Cu layer nekubatanidza photolithography uye electroplating Cu maitiro, uye ipapo iyo photoresist inobviswa uye yakawandisa Ti neCu inoiswa kure. Dzokorora nhanho dziri pamusoro kuti ugadzire akawanda-layer RDL chimiro. Iyi nzira parizvino inonyanya kushandiswa muindasitiri.
Imwe nzira yekugadzira RDL inonyanya kuenderana neCu Dhamasiko maitiro, ayo anosanganisa PECVD uye CMP maitiro.
Musiyano uripo pakati peiyi nzira neRDL process yakavakirwa pa photosensitive polymer ndeyekuti mudanho rekutanga rekugadzira imwe neimwe layer, PECVD inoshandiswa kuisa SiO2 kana Si3N4 senge insulating layer, uyezve hwindo rinoumbwa pane insulating layer nephotolithography uye. reactive ion etching, uye Ti/Cu barriers/seed layer uye conductor mhangura inopushwa zvakateerana, uyezve conductor layer inoderedzwa kusvika pahukobvu hunodiwa. CMP process, kureva kuti, layer yeRDL kana kuburikidza-gomba layer inoumbwa.
Iyi inotevera dhizaini dhiyabhorosi uye pikicha yemuchinjiko-chikamu cheyakawanda-layer RDL yakavakwa yakavakirwa paCu Dhamasiko maitiro. Zvinogona kucherechedzwa kuti TSV inotanga yakabatana nepakati-gomba layer V01, yobva yarongedzerwa kubva pasi kuenda kumusoro muhurongwa hweRDL1, kuburikidza-gomba layer V12, uye RDL2.
Imwe neimwe layer yeRDL kana kuburikidza-gomba layer inogadzirwa mukutevedzana zvinoenderana neiyo nzira iri pamusoro.Sezvo chirongwa cheRDL chichida kushandiswa kweCMP maitiro, mutengo wayo wekugadzira wakakwira kupfuura iwo weRDL process yakavakirwa paphotosensitive polymer, saka kushandiswa kwayo kwakadzikira.
5. IPD process technology
Pakugadzirwa kwezvitatu-dimensional madivayiri, mukuwedzera kune yakananga pa-chip kubatanidzwa paMMIC, iyo IPD maitiro inopa imwe inochinjika yehunyanzvi nzira.
Integrated passive midziyo, inozivikanwawo se IPD process, inosanganisa chero musanganiswa wezvishandiso zvinosanganisira pa-chip inductors, capacitors, resistors, balun converters, nezvimwe pane imwe substrate yakaparadzana kuti iite passive mudziyo raibhurari muchimiro chebhodhi rekufambisa iro rinogona kudanwa zviri nyore maererano nezvinodiwa zvekugadzira.
Sezvo muchirongwa cheIPD, zvigadziriso zvinogadzirwa uye zvakabatanidzwa zvakananga pabhodhi rekutamisa, kuyerera kwayo kuri nyore uye kusingadhure pane pa-chip kubatanidzwa kweICs, uye inogona kugadzirwa-yakawanda kumberi senge passive mudziyo raibhurari.
Kune TSV-matatu-dimensional passive mudziyo kugadzira, IPD inogona kunyatso kudzikamisa mutengo wemutoro wematatu-dimensional ekurongedza maitiro anosanganisira TSV neRDL.
Pamusoro pezvakanakira mutengo, imwe mukana weIPD ndeyekuchinjika kwayo kwakanyanya. Imwe yekuchinjika kweIPD inoratidzwa munzira dzakasiyana dzekubatanidza, sezvakaratidzwa mumufananidzo uri pazasi. Pamusoro peiyo nzira mbiri dzekutanga dzekubatanidza IPD zvakananga mupakeji substrate kuburikidza neflip-chip process sezvakaratidzwa mumufananidzo (a) kana iyo yekubatanidza maitiro sezvakaratidzwa mumufananidzo (b), imwe danga reIPD inogona kubatanidzwa pane imwe layer. yeIPD sezvinoratidzwa muFigure (c)-(e) kuti uwane huwandu hwakakura hwemasanganiswa emidziyo.
Panguva imwecheteyo, sezvakaratidzwa muMufananidzo (f), IPD inogona kushandiswa zvakare se-adapter board kuti ivige zvakananga chip yakabatanidzwa pairi kuti ivake zvakananga high-density packaging system.
Paunenge uchishandisa IPD kuvaka matatu-dimensional passive zvishandiso, TSV maitiro uye RDL maitiro anogona zvakare kushandiswa. Kuyerera kwemaitiro kwakangofanana neiyo yataurwa pamusoro pe-chip yekubatanidza nzira yekugadzirisa, uye haizodzokororwe; mutsauko ndewekuti sezvo chinhu chekubatanidza chinoshandurwa kubva kune chip kuenda kune adapter board, hapana chikonzero chekufunga nezve kukanganiswa kwematatu-dimensional packaging process pane inoshanda nzvimbo uye interconnection layer. Izvi zvinotungamira kune kumwe kuchinjika kwakakosha kweIPD: dzakasiyana-siyana substrate zvinhu zvinogona kuchinjika kusarudzwa zvinoenderana nedhizaini yedhizaini yezvishandiso.
Iyo substrate zvinhu zviripo zveIPD hazvisi chete zvakajairika semiconductor substrate zvinhu zvakaita seSi neGaN, asiwo Al2O3 ceramics, yakaderera-tembiricha / yakakwirira-tembiricha co-fired ceramics, girazi substrates, etc. Ichi chiitiko chinowedzera zvinobudirira dhizaini yekuchinjika zvishandiso zvakabatanidzwa ne IPD.
Semuenzaniso, matatu-dimensional passive inductor chimiro chakabatanidzwa neIPD inogona kushandisa girazi substrate kuti inyatso kuvandudza mashandiro einductor. Kusiyana nepfungwa yeTSV, iyo kuburikidza-maburi akagadzirwa pagirazi substrate anonzi zvakare kuburikidza-girazi vias (TGV). Mufananidzo weiyo-dimensional inductor yakagadzirwa yakavakirwa paIPD uye TGV maitiro inoratidzwa mumufananidzo uri pazasi. Sezvo iyo resistivity yegirazi substrate yakakwira zvakanyanya kupfuura yeyakajairwa semiconductor zvinhu zvakaita seSi, iyo TGV-dimensional inductor ine zvirinani zvekudzivirira zvivakwa, uye kurasikirwa kwekuisa kunokonzerwa neiyo substrate parasitic mhedzisiro pama frequency akanyanya idiki pane iyo iyo yakajairwa TSV matatu-dimensional inductor.
Kune rimwe divi, simbi-insulator-simbi (MIM) capacitors inogona zvakare kugadzirwa pagirazi substrate IPD kuburikidza neyakaonda firimu deposition process, uye yakabatana neTGV matatu-dimensional inductor kuita matatu-dimensional passive sefa chimiro. Naizvozvo, iyo IPD maitiro ane yakafara application mukana wekuvandudza kweatatu-dimensional passive zvishandiso.
Nguva yekutumira: Nov-12-2024